-cd equiv common.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l common.v.log -cd equiv/equiv_miter_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_miter_error synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_miter_error logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_miter_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_add_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_add_error synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_add_error logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_add_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_struct top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_struct synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_struct logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_struct testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_simple_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_simple_fsm synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_simple_fsm logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_simple_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_make top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_make synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_make logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_make testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_remove_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_remove_error synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_remove_error logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_remove_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_make_fsm_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_make_fsm_error synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_make_fsm_error logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_make_fsm_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_mark top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_mark synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_mark logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_mark testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_status top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_status synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_status logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_status testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_add top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_add synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_add logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_add testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_make_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_make_fsm synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_make_fsm logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_make_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_induct top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_induct synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_induct logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_induct testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_simple top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_simple synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_simple logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_simple testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_miter top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_miter synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_miter logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_miter testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_remove top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_remove synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_remove logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_remove testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_opt_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_opt_error synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_opt_error logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_opt_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_purge top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_purge synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_purge logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_purge testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_make_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_make_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd equiv/equiv_make_error top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd equiv/equiv_make_error synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_make_error logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_make_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_opt top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_opt synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_opt logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_opt testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd equiv/equiv_status_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd equiv/equiv_status_error synth_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth_top.v.log -cd equiv/equiv_status_error logic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l logic.v.log -cd equiv/equiv_status_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd misc/opt_rmdff_sat top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/opt_rmdff_sat bc.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l bc.v.log -cd misc/opt_rmdff_sat demux.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l demux.v.log -cd misc/opt_rmdff_sat mux.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l mux.v.log -cd misc/torder top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/select_cd_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/tee top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc9_dff top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/pmuxtree top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/select top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/stat_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/miter_assert_assume top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/assertpmux_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/miter_assert top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/write_file top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc9_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/freduce_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/freduce_error top_err_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_err_1.v.log -cd misc/select_stack top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/bugpoint top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/bugpoint top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd misc/setattr_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/chtype top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/sim top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/scc_feedback top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/show top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc9 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/setparam top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/debug top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/scc_hier_feedback top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/eval_error top_err_3.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_err_3.v.log -cd misc/eval_error top_err_2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_err_2.v.log -cd misc/eval_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/eval_error top_err_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_err_1.v.log -cd misc/abc9_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/ltp top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/test_abcloop top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/cover_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/check_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/freduce_ffs top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/sat_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/freduce_dff top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/trace top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/rename top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/test_cell_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/test_cell_error gold.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l gold.v.log -cd misc/test_cell_error simlib.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l simlib.v.log -cd misc/script top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/scc top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/wbflip top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/add_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/show_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/show_error top_err_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_err_1.v.log -cd misc/plugin_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/splitnets_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/chformal_dff top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/eval top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/select_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/trace_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/assertpmux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/select_ls top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/scc_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/insbuf top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/insbuf testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd misc/fmcombine_assert_assume top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/pmux2shiftx_2 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/miter_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/miter_error top_err_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_err_1.v.log -cd misc/add top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/test_autotb top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/delete_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/help top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/chformal_ff top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/plugin top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/splice_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/mutate_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/fmcombine top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/mutate top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/chparam_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/onehot top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc9_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/sim_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/bugpoint_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/bugpoint_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd misc/design_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/stat top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/rmports top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/chformal top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc_dff top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/design top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/freduce_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/connwrappers top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/tcl top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/mutate_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/echo top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/tee_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/qwp top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/scatter top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/splice top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/edgetypes top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/write_file_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/setattr top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/history top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/chformal_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/blackbox_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/splitnets top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/setundef_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/chparam top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/setundef top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/select_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/pmux2shiftx_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/connect_error top_2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_2.v.log -cd misc/connect_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/connect top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/hilomap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/abc_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/check top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/check top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd misc/rename_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/cutpoint top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/fmcombine_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/fmcombine_error top_err_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_err_1.v.log -cd misc/test_cell top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/test_cell gold.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l gold.v.log -cd misc/test_cell simlib.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l simlib.v.log -cd misc/blackbox top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/supercover top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/freduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/pmux2shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/select_cd top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/delete top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/sat top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/cover top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/muxpack top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd misc/setattr_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd verific/chparam top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends common.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l common.v.log -cd frontends/read_aiger_ff testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_aiger top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_aiger top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd frontends/read_aiger testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_aiger_latch testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_json_tri top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_json_tri testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_ff_np testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_attributes top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_attributes testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_latch testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_eblif testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_real_value_shift_concat top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_real_value_shift_concat top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd frontends/read_verilog_real_value_shift_concat testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_json top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_json testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_tri testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_unique_priority top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_unique_priority testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_comparison top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_comparison testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_ilang top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_ilang testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd frontends/read_verilog testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_ff_edge top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_ff_edge testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_arith testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_ilang_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_ilang_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_defaults_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_defaults_error top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd frontends/verilog_defaults_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_enum_typedef top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_enum_typedef testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_ilang_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_ilang_mux testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_assert top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_assert testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_assert top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd frontends/read_aiger_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_json_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_json_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_tri top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif_tri testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_for_while top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_for_while testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_defines_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_defines_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_and_or top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif_and_or testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_generate top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_generate testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_ff_n testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_defines top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_defines testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_supply top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_supply testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_ff testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_div_mod top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_div_mod testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_json_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_json_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif_mux testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_pmux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif_pmux testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_always_ff_latch top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_always_ff_latch testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_casez top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_casez testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_interface_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_interface_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_ilang_tri top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_ilang_tri testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_ilang_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_ilang_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_tech testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_ff_pp testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_automatic_task top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_automatic_task testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_string top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_string top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd frontends/read_verilog_string testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_specify_specparam top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_specify_specparam testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_json_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_json_mux testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_aiger_s2c testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_assert_assume_restrict top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_assert_assume_restrict top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd frontends/verilog_lexer_assert_assume_restrict testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_dpi top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_dpi testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_aiger_mult testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_logic top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd frontends/read_verilog_param_defparam top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_param_defparam testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_ff_pn testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/verilog_lexer_package top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_lexer_package testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_ilang_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_ilang_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_task_func top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_task_func testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_latch_n testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_json_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_json_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_liberty_diff_inv testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_blif_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_blif_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/read_verilog_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd frontends/read_verilog_logic top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd frontends/verilog_defaults top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd frontends/verilog_defaults top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd frontends/verilog_defaults testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends common.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l common.v.log -cd backends/write_ilang top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_ilang testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_verilog_concat top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_verilog_concat testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_div_mod top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_div_mod testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_div_mod top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_smv_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_simplec_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_simplec_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_spice_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_simplec_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_simplec_mux testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_xaiger_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_xaiger_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_xaiger_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_xaiger_error top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_ilang_tri top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_ilang_tri testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_shiftx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_shiftx top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_smv_init_assert top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_init_assert testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_init_assert top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_smt2_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_shift top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_shift testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_shift top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_firrtl_shift top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_shift testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_shift top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_ilang_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_ilang_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_edif_error top3.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top3.v.log -cd backends/write_edif_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_edif_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_edif_error top4.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top4.v.log -cd backends/write_edif_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_pmux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_pmux testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_intersynth top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_intersynth testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_firrtl_pow top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_pow testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_verilog_ffs top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_verilog_ffs testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_blif_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_blif_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_blif_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_paramod top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_paramod testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_verilog_tri top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_verilog_tri testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_shiftx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_shiftx top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_firrtl_shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_shiftx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_shiftx top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_smv_cmos4 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_cmos4 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_spice top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_spice testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_simplec_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_simplec_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_shift top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_shift testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_shift top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_ilang_mux top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_ilang_mux testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2_error top3.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top3.v.log -cd backends/write_smt2_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_smt2_error top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd backends/write_firrtl_mul top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_mul testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_error top3.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top3.v.log -cd backends/write_firrtl_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_firrtl_error top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd backends/write_firrtl_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_logic top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_verilog_shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_verilog_shiftx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_verilog_shiftx top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_json_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_json_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_json top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_json testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_edif top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_edif testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_shift_shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_shift_shiftx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_xaiger_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_xaiger_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_xaiger_mem top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_firrtl_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2_init_assert top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_init_assert testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2_init_assert top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_xaiger_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_xaiger_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_xaiger_fsm top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_verilog_latch top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_verilog_latch testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_wide top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_wide testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_logic top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_blif top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_blif testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_verilog top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_verilog testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_fsm top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_smt2_nobv top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_nobv testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_verilog_shift_shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_verilog_shift_shiftx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_firrtl_sub top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_firrtl_sub testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smv_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_intersynth_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_intersynth_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_simplec top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_simplec testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_aiger top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_aiger testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_aiger top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_aiger_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_aiger_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_aiger_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_aiger_error top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_btor_init_assert top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_init_assert testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_init_assert top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_table top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_table testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2_shiftx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smt2_shiftx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_smt2_shiftx top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_ilang_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_ilang_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_error top3.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top3.v.log -cd backends/write_btor_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_error top2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top2.v.log -cd backends/write_ilang_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_ilang_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_btor_and_or top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_btor_and_or testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_xaiger top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_xaiger testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd backends/write_xaiger top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd backends/write_smv_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd backends/write_smv_error top1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top1.v.log -cd architecture common.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l common.v.log -cd architecture/ice40_wrapcarry top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/ice40_wrapcarry testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_greenpak4_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_greenpak4_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_anlogic_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_anlogic_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_xilinx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_xilinx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_anlogic_fulladder top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_anlogic_fulladder testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_achronix_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_achronix_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_efinix top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_efinix testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_intel top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_intel testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ice40_wide_ffs top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ice40_wide_ffs testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_gowin_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_gowin_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/xilinx_ug901_synthesis_examples cmacc.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l cmacc.v.log -cd architecture/xilinx_ug901_synthesis_examples squarediffmult.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l squarediffmult.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_sp_rf_rst.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_sp_rf_rst.v.log -cd architecture/xilinx_ug901_synthesis_examples asym_ram_tdp_write_first.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l asym_ram_tdp_write_first.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_tdp_rf_rf.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_tdp_rf_rf.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_sp_rf.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_sp_rf.v.log -cd architecture/xilinx_ug901_synthesis_examples dynamic_shift_registers_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l dynamic_shift_registers_1.v.log -cd architecture/xilinx_ug901_synthesis_examples black_box_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l black_box_1.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_pipeline.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_pipeline.v.log -cd architecture/xilinx_ug901_synthesis_examples bytewrite_tdp_ram_rf.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l bytewrite_tdp_ram_rf.v.log -cd architecture/xilinx_ug901_synthesis_examples xilinx_ultraram_single_port_write_first.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l xilinx_ultraram_single_port_write_first.v.log -cd architecture/xilinx_ug901_synthesis_examples xilinx_ultraram_single_port_read_first.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l xilinx_ultraram_single_port_read_first.v.log -cd architecture/xilinx_ug901_synthesis_examples presubmult.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l presubmult.v.log -cd architecture/xilinx_ug901_synthesis_examples tristates_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l tristates_1.v.log -cd architecture/xilinx_ug901_synthesis_examples shift_registers_0.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l shift_registers_0.v.log -cd architecture/xilinx_ug901_synthesis_examples dynpreaddmultadd.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l dynpreaddmultadd.v.log -cd architecture/xilinx_ug901_synthesis_examples mult_unsigned.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l mult_unsigned.v.log -cd architecture/xilinx_ug901_synthesis_examples sfir_shifter.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sfir_shifter.v.log -cd architecture/xilinx_ug901_synthesis_examples asym_ram_sdp_read_wider.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l asym_ram_sdp_read_wider.v.log -cd architecture/xilinx_ug901_synthesis_examples ram_simple_dual_one_clock.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l ram_simple_dual_one_clock.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_dist.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_dist.v.log -cd architecture/xilinx_ug901_synthesis_examples macc.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l macc.v.log -cd architecture/xilinx_ug901_synthesis_examples top_mux.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_mux.v.log -cd architecture/xilinx_ug901_synthesis_examples asym_ram_tdp_read_first.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l asym_ram_tdp_read_first.v.log -cd architecture/xilinx_ug901_synthesis_examples registers_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l registers_1.v.log -cd architecture/xilinx_ug901_synthesis_examples bytewrite_tdp_ram_nc.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l bytewrite_tdp_ram_nc.v.log -cd architecture/xilinx_ug901_synthesis_examples shift_registers_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l shift_registers_1.v.log -cd architecture/xilinx_ug901_synthesis_examples xilinx_ultraram_single_port_no_change.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l xilinx_ultraram_single_port_no_change.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_sp_nc.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_sp_nc.v.log -cd architecture/xilinx_ug901_synthesis_examples ram_simple_dual_two_clocks.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l ram_simple_dual_two_clocks.v.log -cd architecture/xilinx_ug901_synthesis_examples fsm_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l fsm_1.v.log -cd architecture/xilinx_ug901_synthesis_examples bytewrite_tdp_ram_wf.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l bytewrite_tdp_ram_wf.v.log -cd architecture/xilinx_ug901_synthesis_examples asym_ram_sdp_write_wider.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l asym_ram_sdp_write_wider.v.log -cd architecture/xilinx_ug901_synthesis_examples bytewrite_tdp_ram_readfirst2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l bytewrite_tdp_ram_readfirst2.v.log -cd architecture/xilinx_ug901_synthesis_examples tristates_2.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l tristates_2.v.log -cd architecture/xilinx_ug901_synthesis_examples latches.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l latches.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_sp_rom.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_sp_rom.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_init_file.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_init_file.v.log -cd architecture/xilinx_ug901_synthesis_examples bytewrite_ram_1b.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l bytewrite_ram_1b.v.log -cd architecture/xilinx_ug901_synthesis_examples cmult.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l cmult.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_sp_rom_1.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_sp_rom_1.v.log -cd architecture/xilinx_ug901_synthesis_examples rams_sp_wf.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rams_sp_wf.v.log -cd architecture/xilinx_ug901_synthesis_examples squarediffmacc.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l squarediffmacc.v.log -cd architecture/synth_greenpak4_wide_ffs top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_greenpak4_wide_ffs testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_intel_a10gx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_intel_a10gx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_gowin top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_gowin testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ecp5 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ecp5 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_intel_cyclone10 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_intel_cyclone10 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_greenpak4_dffs_r top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_greenpak4_dffs_r testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_easic_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_easic_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_anlogic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_anlogic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ice40_dsp mul_16_16_keepABP_.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l mul_16_16_keepABP_.v.log -cd architecture/synth_ice40_dsp mul_32_32_keepB_.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l mul_32_32_keepB_.v.log -cd architecture/synth_sf2 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_sf2 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_efinix_fulladder top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_efinix_fulladder testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/ice40_wrapcarry_adders top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/ice40_wrapcarry_adders testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ice40_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ice40_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_sf2_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_sf2_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_intel_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_intel_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_easic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_easic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_coolrunner2_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_coolrunner2_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_greenpak4 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_greenpak4 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_coolrunner2_fulladder top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_coolrunner2_fulladder testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_intel_cycloneive top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_intel_cycloneive testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_xilinx_dsp mul_25s_18s_keepABP_.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l mul_25s_18s_keepABP_.v.log -cd architecture/synth_xilinx_dsp ug901a.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l ug901a.v.log -cd architecture/synth_xilinx_dsp mul_32_32_keepB_.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l mul_32_32_keepB_.v.log -cd architecture/synth_xilinx_dsp ug901b.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l ug901b.v.log -cd architecture/synth_xilinx_dsp macc_25s_18s__49bitaccum.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l macc_25s_18s__49bitaccum.v.log -cd architecture/synth_xilinx_srl multien_var_len.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l multien_var_len.v.log -cd architecture/synth_xilinx_srl rotate_3_var_len.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rotate_3_var_len.v.log -cd architecture/synth_xilinx_srl test17d.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test17d.v.log -cd architecture/synth_xilinx_srl ug901a.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l ug901a.v.log -cd architecture/synth_xilinx_srl sr_fixed_length_other_users_port.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sr_fixed_length_other_users_port.v.log -cd architecture/synth_xilinx_srl test17a.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test17a.v.log -cd architecture/synth_xilinx_srl rotate_3.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rotate_3.v.log -cd architecture/synth_xilinx_srl pos_clk_no_enable_no_init_not_inferred_with_reset_var_len.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l pos_clk_no_enable_no_init_not_inferred_with_reset_var_len.v.log -cd architecture/synth_xilinx_srl neg_clk_no_enable_with_init_with_inferred_N_width.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l neg_clk_no_enable_with_init_with_inferred_N_width.v.log -cd architecture/synth_xilinx_srl test21b.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test21b.v.log -cd architecture/synth_xilinx_srl pos_clk_no_enable_no_init_not_inferred_with_reset.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l pos_clk_no_enable_no_init_not_inferred_with_reset.v.log -cd architecture/synth_xilinx_srl test17c.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test17c.v.log -cd architecture/synth_xilinx_srl multien.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l multien.v.log -cd architecture/synth_xilinx_srl sr_var_length_other_users_port.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sr_var_length_other_users_port.v.log -cd architecture/synth_xilinx_srl neg_clk_no_enable_with_init_with_inferred_with_reset_var_len.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l neg_clk_no_enable_with_init_with_inferred_with_reset_var_len.v.log -cd architecture/synth_xilinx_srl pos_clk_no_enable_no_init_not_inferred_N_width.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l pos_clk_no_enable_no_init_not_inferred_N_width.v.log -cd architecture/synth_xilinx_srl sr_var_length_other_users_xor.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sr_var_length_other_users_xor.v.log -cd architecture/synth_xilinx_srl rotate_3_fdre.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rotate_3_fdre.v.log -cd architecture/synth_xilinx_srl rotate_7_fdre_reset.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rotate_7_fdre_reset.v.log -cd architecture/synth_xilinx_srl ug901b.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l ug901b.v.log -cd architecture/synth_xilinx_srl test17b.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test17b.v.log -cd architecture/synth_xilinx_srl neg_clk_no_enable_with_init_with_inferred_with_reset.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l neg_clk_no_enable_with_init_with_inferred_with_reset.v.log -cd architecture/synth_xilinx_srl rotate_7_fdre_param.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rotate_7_fdre_param.v.log -cd architecture/synth_xilinx_srl ug901c.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l ug901c.v.log -cd architecture/synth_xilinx_srl test17e.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test17e.v.log -cd architecture/synth_xilinx_srl multiclock_var_len.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l multiclock_var_len.v.log -cd architecture/synth_xilinx_srl sr_fixed_length_other_users_xor.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sr_fixed_length_other_users_xor.v.log -cd architecture/synth_xilinx_srl multiclock.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l multiclock.v.log -cd architecture/synth_xilinx_srl test21a.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test21a.v.log -cd architecture/synth_xilinx_srl test20.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l test20.v.log -cd architecture/synth_intel_cycloneiv top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_intel_cycloneiv testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_coolrunner2 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_coolrunner2 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ecp5_wide_ffs top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ecp5_wide_ffs testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_gowin_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_gowin_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ecp5_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ecp5_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_anlogic_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_anlogic_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_intel_cyclonev top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_intel_cyclonev testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/xilinx_srl top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/xilinx_srl testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ice40_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ice40_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_achronix top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_achronix testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_anlogic_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_anlogic_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_xilinx_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_xilinx_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ice40_fulladder top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ice40_fulladder testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd architecture/synth_ice40 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd architecture/synth_ice40 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd yosys/yosys_backends top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_header top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_quiet top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_write_des_to_file top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_frontends top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_no_footer top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_regexp top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_help_for_command top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_tcl_script top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_no_banner top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_enable_tracing top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_regexp_w top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_synth top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_abort top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_script top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_regexp_e top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_detailed_timing top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_command_list top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_time top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_dependencies top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_exec_command top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_log_file top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_globally_en_log_mes top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_version top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_macro top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_randomize_alloc_point_addr top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_headers top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_help top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd yosys/yosys_log_file_lb_mode top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd bigsim/picorv32/sim testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd bigsim/picorv32/rtl picorv32.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l picorv32.v.log -cd bigsim/navre/sim sieve.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sieve.v.log -cd bigsim/navre/sim bench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l bench.v.log -cd bigsim/navre/rtl softusb_navre.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l softusb_navre.v.log -cd regression common.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l common.v.log -cd regression/issue_00931 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01093 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01023 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00888 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00085 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00085 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00085 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00091 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00091 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01014 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01259 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01223 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01220 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00289 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00289 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01161 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00283 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00283 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00342 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00342 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00642 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00642 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00708 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00835 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00083 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00083 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00083 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00865 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00018 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00018 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00981 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00639 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00639 top_new.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_new.v.log -cd regression/issue_00826 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00527 sd_rrmux.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sd_rrmux.v.log -cd regression/issue_00086 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00086 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00086 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00432 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00432 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00084 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00084 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00128 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00128 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00361 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00361 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00253 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00253 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00102 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00102 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00186 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00186 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00182 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00182 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01273 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00524 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00111 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00111 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01284 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01284 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00781 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00781 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00867 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01033 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00093 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00093 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00210 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00210 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00088 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00088 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00582 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00582 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00457 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00457 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00862 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00982 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00306 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00306 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00961 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01132 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00635 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00635 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00065 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00065 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00623 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00134 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00134 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00391 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00391 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00391 top_clean.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_clean.v.log -cd regression/issue_00089 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00089 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00349 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00349 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00317 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00317 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01128 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00955 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01329 dff.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l dff.v.log -cd regression/issue_00329 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00954 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01070 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01047 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01216 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00287 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00287 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00081 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00081 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00081 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00656 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00737 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00655 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00655 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00655/verilog/config_minimal lm32_config.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_config.v.log -cd regression/issue_00655/verilog/config_lite lm32_config.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_config.v.log -cd regression/issue_00655/verilog/config lm32_config.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_config.v.log -cd regression/issue_00655/verilog/submodule/test lm32_config.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_config.v.log -cd regression/issue_00655/verilog/submodule/test tb_lm32_system.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l tb_lm32_system.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_dp_ram.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_dp_ram.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_addsub.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_addsub.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_ram.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_ram.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_debug.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_debug.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_instruction_unit.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_instruction_unit.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_decoder.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_decoder.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_interrupt.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_interrupt.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_logic_op.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_logic_op.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_include.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_include.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_top.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_mc_arithmetic.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_mc_arithmetic.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_adder.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_adder.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_dcache.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_dcache.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_jtag.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_jtag.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_icache.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_icache.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_cpu.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_cpu.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_load_store_unit.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_load_store_unit.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_itlb.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_itlb.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_multiplier.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_multiplier.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_dtlb.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_dtlb.v.log -cd regression/issue_00655/verilog/submodule/rtl jtag_tap_spartan6.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l jtag_tap_spartan6.v.log -cd regression/issue_00655/verilog/submodule/rtl jtag_cores.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l jtag_cores.v.log -cd regression/issue_00655/verilog/submodule/rtl lm32_shifter.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l lm32_shifter.v.log -cd regression/issue_00071 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00071 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00071 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01225 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00810/src skid_buffer.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l skid_buffer.v.log -cd regression/issue_00810/src Master_AXI_Read_Data_Channel.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Master_AXI_Read_Data_Channel.v.log -cd regression/issue_00810/src Down_Counter_Zero.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Down_Counter_Zero.v.log -cd regression/issue_00810/src Master_AXI_Write_Data_Channel.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Master_AXI_Write_Data_Channel.v.log -cd regression/issue_00810/src Delay_Line.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Delay_Line.v.log -cd regression/issue_00810/src Master_AXI_Write_Sequencer.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Master_AXI_Write_Sequencer.v.log -cd regression/issue_00810/src skid_buffer_datapath.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l skid_buffer_datapath.v.log -cd regression/issue_00810/src Master_AXI_Transactor.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Master_AXI_Transactor.v.log -cd regression/issue_00810/src posedge_pulse_generator.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l posedge_pulse_generator.v.log -cd regression/issue_00810/src Annuller.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Annuller.v.log -cd regression/issue_00810/src Master_AXI_Read_Sequencer.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Master_AXI_Read_Sequencer.v.log -cd regression/issue_00810/src pulse_to_level.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l pulse_to_level.v.log -cd regression/issue_00810/src skid_buffer_fsm.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l skid_buffer_fsm.v.log -cd regression/issue_00810/src Master_AXI_Write_Response_Channel.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Master_AXI_Write_Response_Channel.v.log -cd regression/issue_00810/src faxi_master.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l faxi_master.v.log -cd regression/issue_00810/src Master_AXI_Address_Channel.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l Master_AXI_Address_Channel.v.log -cd regression/issue_01217 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00449 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00174 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00174 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00767 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00767 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01034 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00922 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00067 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00067 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00067 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00870 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01022 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00341 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00341 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01084 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00126 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00126 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00589 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00589 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01193 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00173 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00173 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00567 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00567 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00940 SuperTopEntity.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l SuperTopEntity.v.log -cd regression/issue_00940 TopEntity.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l TopEntity.v.log -cd regression/issue_01243 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00196 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00196 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00987 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00831 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01135 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00099 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00099 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00644 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00644 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00300 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00300 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00291 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00291 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00873 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00444 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00444 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00481 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00481 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00594 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00594 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00041 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00041 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00938 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00502 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00814 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00814 testbench.v -elabuhdm -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00474 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00474 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00630 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00630 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00896 outreg.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l outreg.v.log -cd regression/issue_00896 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00095 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00095 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00705 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00705 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00362 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00362 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00133 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00133 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00175 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00175 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00956 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00096 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00096 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00628 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00628 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00160 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00160 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01372 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01126 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01063 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00968 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01091 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00098 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00098 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01016 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00350 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00350 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00082 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00082 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00082 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00059 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00059 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00194 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00194 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00078 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00078 top_fault.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_fault.v.log -cd regression/issue_00078 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00675 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00675 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01144 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00372 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00372 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00807 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00807 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00774/yosys_rocket freechips.rocketchip.system.LowRiscConfig.behav_srams.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l freechips.rocketchip.system.LowRiscConfig.behav_srams.v.log -cd regression/issue_00774/yosys_rocket SimDTM.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l SimDTM.v.log -cd regression/issue_00774/yosys_rocket plusarg_reader.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l plusarg_reader.v.log -cd regression/issue_00774/yosys_rocket AsyncResetReg.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l AsyncResetReg.v.log -cd regression/issue_00774/yosys_rocket EICG_wrapper.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l EICG_wrapper.v.log -cd regression/issue_00997 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00699 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00699 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01065 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00282 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00282 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00785 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01291 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00183 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00183 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00114 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00114 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00993 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01040 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00809 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00809 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01131 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00195 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00195 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01360 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00790 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00790 top_assert.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_assert.v.log -cd regression/issue_00790 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00603 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00603 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00171 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00171 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00935 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01002 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00314 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00314 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00857 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01364 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01115 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_01118 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00132 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00132 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_01231 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00763 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00763 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd regression/issue_00689 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd regression/issue_00689 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple common.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l common.v.log -cd simple/ice40_dsp_mult_out_larger top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/ice40_dsp_mult_out_larger testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tristate_case top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tristate_case testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/flowmap_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/flowmap_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tristate_const_0 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tristate_const_0 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_expand top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_expand testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/clk2fflogic_latch top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/clk2fflogic_latch testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/nlutmap_opt top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/nlutmap_opt testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/muxcover top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/muxcover testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dff2dffe_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dff2dffe_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tristate_proc_asmt top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tristate_proc_asmt testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/proc_arst top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/proc_arst testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt_lut_ice40 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt_lut_ice40 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/async2sync top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/async2sync testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/muxcover_mux8 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/muxcover_mux8 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/share_fsm top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/share_fsm testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/shregmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/shregmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/share_shr top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/share_shr testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/zinit top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/zinit testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/proc_arst_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/proc_arst_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tristate_if top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tristate_if testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/full_adder top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/full_adder testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract_counter_sync_reset top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract_counter_sync_reset testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_export top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_export testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/hierarchy_huge top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/hierarchy_huge testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/hierarchy_huge/yosys_rocket freechips.rocketchip.system.LowRiscConfig.behav_srams.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l freechips.rocketchip.system.LowRiscConfig.behav_srams.v.log -cd simple/hierarchy_huge/yosys_rocket SimDTM.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l SimDTM.v.log -cd simple/hierarchy_huge/yosys_rocket plusarg_reader.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l plusarg_reader.v.log -cd simple/hierarchy_huge/yosys_rocket AsyncResetReg.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l AsyncResetReg.v.log -cd simple/hierarchy_huge/yosys_rocket EICG_wrapper.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l EICG_wrapper.v.log -cd simple/wreduce_adder top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/wreduce_adder testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/simplemap_mem_slice_concat top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/simplemap_mem_slice_concat testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/nlutmap_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/nlutmap_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/ice40_dsp_mult_signed top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/ice40_dsp_mult_signed testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/submod_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/submod_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/wreduce_mul top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/wreduce_mul testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/ice40_dsp_mult_acc top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/ice40_dsp_mult_acc testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/nlutmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/nlutmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract_counter_negative_reset top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract_counter_negative_reset testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/hierarchy top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/hierarchy testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/memory words.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l words.v.log -cd simple/memory top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/memory testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dffsr top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dffsr testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/alu top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/alu testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/attrmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/attrmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tribuf_logic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tribuf_logic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract_counter_no_reset top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract_counter_no_reset testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/zinit_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/zinit_error synth.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synth.v.log -cd simple/zinit_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_export_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_export_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/iopadmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/iopadmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/synth top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/synth testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/flowmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/flowmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/wreduce_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/wreduce_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract_counter top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract_counter testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_recode_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_recode_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tristate_const_data top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tristate_const_data testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dffc top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dffc testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dffr top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dffr testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/uniquify top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/uniquify testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/shregmap_resetable top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/shregmap_resetable testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt_merge_share_all top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt_merge_share_all testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tribuf top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tribuf testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tristate_const_1 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tristate_const_1 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/memory_bram_error rules.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rules.v.log -cd simple/memory_bram_error words.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l words.v.log -cd simple/memory_bram_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/memory_bram_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/memory_single_port words.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l words.v.log -cd simple/memory_single_port top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/memory_single_port testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt_demorgan top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt_demorgan testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/expose top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/expose testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt_demorgan_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt_demorgan_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/macc top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/macc testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt_expr top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt_expr testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract_counter_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract_counter_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/inout_port top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/inout_port testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/ice40_dsp_mult_b_larger top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/ice40_dsp_mult_b_larger testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/share top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/share testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/design_import top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/design_import testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/prep_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/prep_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/ice40_dsp_mult top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/ice40_dsp_mult testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/hierarchy_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/hierarchy_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/ice40_dsp_mult_a_larger top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/ice40_dsp_mult_a_larger testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dffcp top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dffcp testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_opt top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_opt testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_unreach top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_unreach testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/aigmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/aigmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/simplemap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/simplemap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/tristate top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/tristate testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/share_macc top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/share_macc testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dffsr2dff top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dffsr2dff testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt_lut top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt_lut testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dff2dffs top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dff2dffs testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/synth_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/synth_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/prep top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/prep testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/techmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/techmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_command top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_command testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dff2dffe_unmap top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dff2dffe_unmap testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/wreduce_div top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/wreduce_div testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/fsm_recode top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/fsm_recode testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/opt_merge_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/opt_merge_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/flowmap_latch top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/flowmap_latch testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/clk2fflogic_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/clk2fflogic_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/submod_mem top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/submod_mem testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/wreduce_memx testbench_.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench_.v.log -cd simple/wreduce_memx top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/wreduce_memx top_.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top_.v.log -cd simple/wreduce_memx testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/clk2fflogic top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/clk2fflogic testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/extract_counter_down top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/extract_counter_down testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/expose_ffs top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/expose_ffs testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/dff_d0 top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/dff_d0 testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/shregmap_error top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/shregmap_error testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/inout_port_demote top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/inout_port_demote testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/submod top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/submod testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/expose_dff top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/expose_dff testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/test_pmgen top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/test_pmgen testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd simple/simplemap_reduce top.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.v.log -cd simple/simplemap_reduce testbench.v -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l testbench.v.log -cd verific/sva gotorep.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l gotorep.sv.log -cd verific/sva intersect.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l intersect.sv.log -cd verific/sva seq_or.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l seq_or.sv.log -cd verific/sva until_trig.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l until_trig.sv.log -cd verific/sva until.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l until.sv.log -cd verific/sva firstmatch.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l firstmatch.sv.log -cd verific/sva seq_and.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l seq_and.sv.log -cd verific/sva within.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l within.sv.log -cd verific/sva nonconsrep.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l nonconsrep.sv.log -cd verific/sva triggered.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l triggered.sv.log -cd verific/sva repzero.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l repzero.sv.log -cd verific/sva consrep.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l consrep.sv.log -cd verific/opers rednor.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l rednor.sv.log -cd verific/opers wide_mux.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l wide_mux.sv.log -cd verific/opers wselect.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l wselect.sv.log -cd verific/opers sel_mux.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l sel_mux.sv.log -cd verific/opers select.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l select.sv.log -cd verific/vhdl tb.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l tb.sv.log -cd regression/issue_01145 top.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l top.sv.log -cd regression/issue_01329 synchronizer.sv -writepp -parse -nocache -nobuiltin -nonote -noinfo -timescale=1ns/1ns -l synchronizer.sv.log